Mouser Left Banner
Mouser Left Banner
Mouser Left Banner
Mouser Right Banner
Mouser Right Banner
Mouser Right Banner
More

    Advanced packaging is at the heart of innovation

    The semiconductor industry showed impressive figures in 2017: +21.6% YoY growth to reach about US$ 412 billion. Without any doubt, the industry is entering a new age, where innovation and disruption are the key words. In addition to mobile, Yole Développement (Yole) analysts identified emerging mega-drivers that are step by step changing our world.

    Big data, AI, 5G, HPC, IoT, smart automotive, industry 4.0, datacenters and more, all mega-trends becoming part of our day to day life, with a direct impact on the semiconductor industry and its supply chain. In its latest report, Status of the Advanced Packaging Industry, Yole predicts an impressive US$39 billion advanced packaging market in 2023 with 7% CAGR.

    “The advanced packaging is also driven by the wind of changes, due to the impressive impact of the megatrends,” explains Emilie Jolivet, Division Director, Semiconductor & Software at Yole. “Yole and NCAP China have decided to combine their expertise this year again to propose the Advanced Packaging & System Integration Technology Symposium in Shanghai, prior NEPCON China. This Shanghai edition will be the place to be to understand the industry evolution and measure the impact of the megatrends.”

    NCAP CHINA and Yole build an innovative program fully dedicated to the advanced packaging industry: the Advanced Packaging & System Integration Technology Symposium takes place in Shanghai, China, from April 22 to 23, 2019, prior to NEPCON China 2019. During 2 days, all packaging aspects, including Panel Level, Fan-Out, SiP, Advanced Substrates and 3D Technology, will be discussed. A focus on key applications such as AI, HPC, memory, transportation (48V, EV/HEV, embedded die packaging platform, PCB, advanced substrates…), 5G and consumer (WLP and Fan-Out platforms)… will be at the heart of the conference.

    “Two advanced packaging roadmaps are foreseen: scaling and functional,” asserts Santosh Kumar, Principal Analyst & Director Packaging, Assembly & Substrates, Yole Korea. “And the semiconductor industry is developing products for both of them. Advanced packaging is seen as a way to increase the value of a semiconductor product, adding functionality, maintaining/increasing performance while lowering cost…”

    Both roadmaps developed by the Semiconductor & Software team at Yole, hold more multi-die heterogeneous integration, called SiP, and higher levels of package customization in the future. A variety of SiP solutions is developing in both high and low end, for consumer, performance and specialized applications. Heterogeneous integration has clearly created opportunities for both the substrate and WLP based SiP.

    It is a fact. Advanced packaging is at the heart of innovation. Mega-trend applications are bringing new challenges, and leading advanced packaging companies from all over the world will come to exchange ideas on their vision and future perspectives at the Advanced Packaging & System Integration Technology Symposium.

    For more information, visit: www.yole.fr

    ELE Times Research Desk
    ELE Times Research Deskhttps://www.eletimes.com
    ELE Times provides a comprehensive global coverage of Electronics, Technology and the Market. In addition to providing in depth articles, ELE Times attracts the industry’s largest, qualified and highly engaged audiences, who appreciate our timely, relevant content and popular formats. ELE Times helps you build awareness, drive traffic, communicate your offerings to right audience, generate leads and sell your products better.

    Technology Articles

    Popular Posts

    Latest News

    Must Read

    ELE Times Top 10